- SpaceX (Sunnyvale, CA)
- Sr . SOC/ ASIC Physical Design Engineer (Silicon Engineering) at SpaceX Sunnyvale, CA SpaceX was founded under the belief that a future where humanity is out ... the ultimate goal of enabling human life on Mars. SR . SOC/ ASIC PHYSICAL DESIGN ENGINEER...and weekends as needed COMPENSATION AND BENEFITS: Pay range: Physical Design Engineer/ Senior : $170,000.00 - $230,000.00/per year… more
- SpaceX (Irvine, CA)
- Sr . SOC/ ASIC Timing Signoff & Front-End Implementation Engineer (Silicon Engineering) at SpaceX Irvine, CA SpaceX was founded under the belief that a future ... the ultimate goal of enabling human life on Mars. SR . SOC/ ASIC TIMING SIGNOFF & FRONT-END IMPLEMENTATION...rockets and spacecraft to deploy Starlink, the world's most advanced broadband internet system. Starlink is the world's largest… more
- Micron Technology, Inc. (Minneapolis, MN)
- …your individual and collaborative skills in this exciting and outstanding opportunity. As a Sr . Digital Design Engineer in Micron's ASIC logic design team, you ... teams from Design Verification, Analog Design, and Modeling to Synthesis and Physical Implementation. + Participate in advanced packaging discussion and setting… more
- Northrop Grumman (Dulles, VA)
- …will join the Electrical Engineering Avionics department that specializes in FPGA/ ASIC for space applications. **Basic Qualifications: Sr . Principal Digital ... history, they're making history. We have openings for a **FPGA/ ASIC Engineer** to join our team of qualified, diverse...with a PhD + Experience with VHDL design or Advanced /verification for FPGA's + Must have hands on… more
- Micron Technology, Inc. (Minneapolis, MN)
- …collaborative skills in this exciting and outstanding opportunity. We're looking for a Principal Physical Design Engineer ( ASIC ) to join our team! You will be ... Micron Technology, Inc. has redefined innovation with the world's most advanced memory and semiconductor technologies. We're an international team of visionaries… more
- ManpowerGroup (New Almaden, CA)
- ** Senior ASIC Design Engineer** Our client in **San Jose, CA** is looking for hardworking, motivated talent to join their team. Don't wait apply today! What's in ... Holiday Pay, Referral program bonus, etc. **Job Description** + Focus on analog/mixed-signal ASIC design in advanced nodes. + Manage PDK libraries and drive… more
- NVIDIA (Westford, MA)
- …The ideal candidate will have 10+ years of direct experience with PCIE Physical /Datal-Link Layer or other industry standard protocols like CXL, AXI, CHI, UCIe USB, ... deliver best in class IP + partnering with our Physical Design team on partitioning, floorplanning and timing closure...experience + 8+ years of relevant experience or an Advanced Degree with equivalent experience + 5+ years experience… more
- SpaceX (Irvine, CA)
- …extended hours and weekends as needed COMPENSATION AND BENEFITS: Pay range: ASIC /FPGA Engineer/ Senior : $160,000.00 - $220,000.00/per year Your actual level and ... Sr . SerDes Characterization and Validation Engineer (Silicon Engineering)...rockets and spacecraft to deploy Starlink, the world's most advanced broadband internet system. Starlink is the world's largest… more
- SpaceX (Redmond, WA)
- Sr . Design Verification Engineer (Silicon Engineering) at SpaceX Redmond, WA SpaceX was founded under the belief that a future where humanity is out exploring the ... possible, with the ultimate goal of enabling human life on Mars. SR . DESIGN VERIFICATION ENGINEER (SILICON ENGINEERING) At SpaceX we're leveraging our experience… more
- SpaceX (Redmond, WA)
- …as necessary to support critical milestones COMPENSATION & BENEFITS: Pay range: ASIC /FPGA Design Engineer/ Senior : $160,000.00 - $220,000.00/per year Your actual ... Sr . DDR IP Design Engineer (Silicon Engineering) at...rockets and spacecraft to deploy Starlink, the world's most advanced broadband internet system. Starlink is the world's largest… more
- Amazon (Northridge, CA)
- …push the state of the art in distributed systems and hardware design. As a Sr . FPGA engineer on the Kuiper Government Solutions team you will create FPGA solutions ... and release FPGAs through the development phases of uArchitecture-RTL Design- Physical Implementation-Timing Closure-Simulation Validation- Lab Based Silicon Validation -… more
- SpaceX (Redmond, WA)
- Sr . RF/Microwave Engineer (Silicon Engineering) at SpaceX Redmond, WA SpaceX was founded under the belief that a future where humanity is out exploring the stars is ... this possible, with the ultimate goal of enabling human life on Mars. SR . RF/MICROWAVE ENGINEER (SILICON ENGINEERING) At SpaceX we're leveraging our experience in… more
- SpaceX (Redmond, WA)
- Sr . MMIC Design Engineer (Silicon Engineering) at SpaceX Redmond, WA SpaceX was founded under the belief that a future where humanity is out exploring the stars is ... the ultimate goal of enabling human life on Mars. SR . MMIC DESIGN ENGINEER (SILICON ENGINEERING) At SpaceX we're...rockets and spacecraft to deploy Starlink, the world's most advanced broadband internet system. Starlink is the world's largest… more
- SpaceX (Redmond, WA)
- Sr . RF/Microwave Engineer at SpaceX Redmond, WA SpaceX was founded under the belief that a future where humanity is out exploring the stars is fundamentally more ... this possible, with the ultimate goal of enabling human life on Mars. SR . RF/MICROWAVE ENGINEER (STARLINK) At SpaceX, we're leveraging our experience in building… more
- Cadence Design Systems, Inc. (Austin, TX)
- …+ BS in Electrical, Computer Engineering or equivalent experience with 7+ years of ASIC and/or physical design flow experience; MS preferred. + Familiar with ... to enable better synthesis convergence. + RTL/logic design skills as well as physical design skills for timing closure. + Closely collaborate with the ASIC… more
- BAE Systems (Manassas, VA)
- …universities, small businesses and venture firms/accelerators all in service of developing advanced technologies to enable our nation s warfighting mission needs. We ... are seeking a Senior Digital Microelectronics Design Engineering Manager to work within...in candidates with leadership, project management, and custom and ASIC design experience, who have had a role in… more
- Cadence Design Systems, Inc. (San Jose, CA)
- …and innovators who want to make an impact on the world of technology. Title: Sr . AE Manager - Serdes Applications Location: San Jose, CA Job Description: Cadence is ... individual to join the Worldwide IP Sales team as Sr . Applications Engineering Manager for our Serdes IP Portfolio....and working with customers to develop solutions for their System/ ASIC /SoC designs using the Cadence Serdes IP portfolio. This… more
- Capgemini (Austin, TX)
- …resolving any issues with CAD Vendor and/or Foundary. *Experience in using Synopsys advanced physical Verification tools. *Experience in running physical ... _ERD PPL US_ **Title:** _Senior E/E & Semiconductor Engineer - Senior Physical Design Engineer_ **Location:** _TX-Austin_ **Requisition ID:** _076404_ more
- NVIDIA (Santa Clara, CA)
- …+ Understanding of standard cells/memory/IO IP modeling and its usage in the ASIC flow. Hands-on experience in advanced CMOS technologies, design with FinFET ... to amplify human inventiveness and intelligence. We are seeking an innovative Senior Timing Methodology Engineer to help drive sign-off strategies for the world's… more
- NVIDIA (Santa Clara, CA)
- …engineering methodologies + Build flows for methodologies incorporating logic/ physical synthesis, design planning, equivalence checking for industry-leading chip ... design implementation and analysis tools + Provide support for ASIC tools and flows + Assist chip design teams...tools and flows + Assist chip design teams with advanced implementation tasks What we need to see: +… more