• SerDes Development Engineer

    Broadcom (San Jose, CA)
    …firmware and software development for the physical layer of high speed SerDes at speeds of >200Gbps with both analog and digital components. The types of ... firmware and API levels. **Responsibilities may include:** Application and support SerDes software development Communicate between various teams on firmware,… more
    Broadcom (08/09/25)
    - Save Job - Related Jobs - Block Source
  • DSP or Serdes RTL Sr Principal Digital…

    Cadence Design Systems, Inc. (San Jose, CA)
    …in the San Jose office. A Cadence satellite office (if senior with extensive SerDes exp.) will be considered. Position Requirements This team is focused on DSP ... and/or High Speed Serdes . The ideal candidate will have at least...technical staff, both analog and digital, regarding overall project development progress and status. This includes but is not… more
    Cadence Design Systems, Inc. (10/17/25)
    - Save Job - Related Jobs - Block Source
  • Package Design Engineer

    Broadcom (San Jose, CA)
    …**Job Description:** Broadcom is seeking an experienced IC package-design engineer for complex flip-chip-BGA packages for industry-leading ASICs with high-speed ... SerDes and very-high-power delivery needs. You will be part...to efficiency improvements for the design team, through process development /improvement, automation, documentation, etc. + Physical design (layout) is… more
    Broadcom (08/19/25)
    - Save Job - Related Jobs - Block Source
  • Sr. Principal Analog IC Designer

    Cadence Design Systems, Inc. (San Jose, CA)
    …with specialization in analog microelectronics . Minimum 8 years of experience in CMOS SerDes or high-speed I/O IC design and development (≥16Gb/s) and in ... want to make an impact on the world of technology. Senior Principal Design Engineer The Sr Principal Analog IC Designer is responsible for design and developing… more
    Cadence Design Systems, Inc. (09/12/25)
    - Save Job - Related Jobs - Block Source
  • High Speed RTL Design Engineer

    Broadcom (San Jose, CA)
    …you apply.** **Job Description:** **Broadcom is looking for a high-speed DSP SerDes RTL designer. Qualifications include:** + **MS or PhD in Electrical Engineering ... Computer Engineering with 10+ years of experience in high speed ADC based SerDes RTL design.** + **Proficient with Verilog-HDL/System Verilog coding for PAM4 DSP… more
    Broadcom (08/16/25)
    - Save Job - Related Jobs - Block Source
  • Electrical Post Silicon Validation Engineer

    Cisco (San Jose, CA)
    …post silicon validation. Hands-on cross functional experience with emphasis on SW development for multiple platforms ( SERDES , PHY, Diagnostics, SDK and BSP). ... Electrical Post Silicon Validation Engineer Apply (https://jobs.cisco.com/jobs/Login?projectId=1445100) + Location:San Jose, California, US + Area of… more
    Cisco (09/24/25)
    - Save Job - Related Jobs - Block Source
  • Sr.Test Development Engineer

    Cisco (San Jose, CA)
    Sr.Test Development Engineer Apply (https://jobs.cisco.com/jobs/Login?projectId=1448472) + Location:San Jose, California, US + Area of InterestSupply Chain + ... test activities. **Your Impact** You will be a Test Engineer in Silicon Operations focusing on the ATE test...trends in DFT insertion techniques, including JTAG, Scan, ATPG, SerDes , PCIe and MBIST. + Experience with yield improvement… more
    Cisco (09/26/25)
    - Save Job - Related Jobs - Block Source
  • DFT Engineer

    Broadcom (San Jose, CA)
    …Account, please Sign-In before you apply.** **Job Description:** **Principal DFT Engineer ** Broadcom's ASIC Product Division is seeking candidates for a DFT ... position at our San Jose, California Development Center. The successful candidate will be responsible for...+ Implementing DFT, including Scan, MBIST, TAP, LBIST, IO, SerDes and other I/P DFT integration + Working closely… more
    Broadcom (09/05/25)
    - Save Job - Related Jobs - Block Source
  • Senior Hardware Design Engineer

    General Motors (Mountain View, CA)
    …design. In this role you will be responsible for leading product development and integration activities. Responsibilities of the **_Senior Hardware Design ... Engineer - Aggregator_** include utilizing engineering tools and processes...designs for advanced module architectures. + Lead new IC design/ development strategic to advanced in-vehicle architectures + Lead electrical… more
    General Motors (10/03/25)
    - Save Job - Related Jobs - Block Source
  • Senior Signal Integrity and EMC Engineer

    General Motors (Mountain View, CA)
    …with cross-functional teams, and optimizing design processes to support the development of next-generation automotive systems. The engineer will lead ... paths, ensuring adherence to specifications for interfaces like **DDR5, PCIe, USB4, SerDes , and Ethernet** . + Evaluate and validate signal integrity for power… more
    General Motors (08/21/25)
    - Save Job - Related Jobs - Block Source
  • Senior Network Systems Engineer

    Microsoft Corporation (Mountain View, CA)
    …to help achieve that mission. We are looking to hire a **Senior Network Systems Engineer ** to be a part of the team. As a Senior Network Systems Engineer ... provide innovative E2E hardware solutions to Microsoft Cloud to support AI/ML development . Microsoft's mission is to empower every person and every organization on… more
    Microsoft Corporation (10/13/25)
    - Save Job - Related Jobs - Block Source
  • Senior Technical Lead, Signal/Power Integrity…

    Cisco (San Jose, CA)
    …a desire to innovate are important. + Working experience with high-speed NRZ and PAM4 SerDes , as well as high-speed PCB/package development and PI analysis, is a ... Senior Technical Lead, Signal/Power Integrity Engineer Apply (https://jobs.cisco.com/jobs/Login?projectId=1450909) + Location:San Jose, California, US + Area of… more
    Cisco (10/03/25)
    - Save Job - Related Jobs - Block Source
  • Signal Integrity Engineer

    Cisco (San Jose, CA)
    …a desire to innovate are important. + Working experience with high-speed NRZ and PAM4 SerDes , as well as high-speed PCB/package development and PI analysis, is a ... Signal Integrity Engineer Apply (https://jobs.cisco.com/jobs/Login?projectId=1450913) + Location:San Jose, California, US...Service Provider SI team is seeking a Signal Integrity Engineer for the design and analysis of high-speed components,… more
    Cisco (10/03/25)
    - Save Job - Related Jobs - Block Source
  • R&D Engineer Hardware 5

    Broadcom (San Jose, CA)
    …Sign-In before you apply.** **Job Description:** As a Principal Hardware Engineer , you will design, develop, and deliver Ultra High-performance electronic products. ... As such, we have a One Person One Board development culture, so you will own all aspects of...with 1kW+ footprints + High-speed & High-density signaling with SerDes rates of 112G - 224G + Demonstrate lifecycle… more
    Broadcom (10/02/25)
    - Save Job - Related Jobs - Block Source
  • Staff Engineer , PCB Layout

    Celestica (San Jose, CA)
    …Americas Country: USA State/Province: California City: San Jose **Summary** The Staff Engineer , PCB Layout works with cross functional teams with other PCB Layout ... engineering and project leadership to ensure robust and high quality product development . Enhance designs with feedback from reviews in areas such as manufacturing,… more
    Celestica (08/22/25)
    - Save Job - Related Jobs - Block Source
  • Senior Hardware Design Engineer

    Cisco (San Jose, CA)
    Senior Hardware Design Engineer Apply (https://jobs.cisco.com/jobs/Login?projectId=1440437) + Location:San Jose, California, US + Area of InterestEngineer - Hardware ... highest bit rates possible. + Responsible for hardware definition and design, development , analysis to qualification and final product release. + Work closely with… more
    Cisco (10/18/25)
    - Save Job - Related Jobs - Block Source
  • Software Engineer I

    Cadence Design Systems, Inc. (San Jose, CA)
    …and enable the products. We are now looking for a hands-on system integration engineer who wants to expand his/her scope, work with the interactions of a complex ... HW/PCB, SW, FW, and FPGA subsystems in the whole development cycle. The same discipline also applies to system...and RPC calls, FPGA, microcontroller interfaces, JTAG, I2C, SPI, SERDES , memory and many other interfaces. + Execute post-silicon… more
    Cadence Design Systems, Inc. (10/08/25)
    - Save Job - Related Jobs - Block Source
  • Physical Design Engineer

    Broadcom (San Jose, CA)
    …**Job Description:** **Broadcom is looking for a senior level ASIC physical design engineer . In this highly visible role, you will be contributing to SerDes ... tape-out to foundries and solid understanding of supply chain for IC Product development .** + **Scripting experience with Perl, Python, tcl, shell and drive to… more
    Broadcom (09/26/25)
    - Save Job - Related Jobs - Block Source
  • ASIC FPGA Design and Verification Engineer

    The Boeing Company (Mountain View, CA)
    …to enable applications that cut across every domain at Boeing. Our diverse development portfolio provides opportunities to learn with exposure to the breadth of the ... Strike, Surveillance and Mobility; and Autonomous Systems). As an ASIC/FPGA Engineer on the Boeing Electronic Products team you will develop state-of-the-art… more
    The Boeing Company (10/16/25)
    - Save Job - Related Jobs - Block Source
  • Digital Verification Engineer

    Broadcom (San Jose, CA)
    …**Job Description:** Broadcom is looking for a senior level Digital Design Verification engineer . In this highly visible role you will be working on ASIC for ... with analog mixed-signal building blocks such as ADCs, DACs, PLLs and SerDes + Familiarity with generating randomized vectors for analog and digital behavioral… more
    Broadcom (10/10/25)
    - Save Job - Related Jobs - Block Source